VEX_rev = 1.5; * SCHED vers: Release 11.4. March 14, 2015 * VEX/SCHED: 1.5.87 * Other versions: Sched: 11.4 Plot: 1.06 JPL-ephem: 0.00 * log2vex vers: 4.0.2, Release 20 nov 2014 * Run by jops at 10:37:05 19 Oct 2015 *------------------------------------------------------------------------------ $GLOBAL; ref $EXPER = F15M1; ref $EOP = EOP292; * +------------+ * PI revision number: | 2.0000 | * +------------+ *------------------------------------------------------------------------------ $EXPER; * def F15M1; exper_name = F15M1; exper_description = "Network Monitoring Experiment"; PI_name = "Gabriele Surcis"; PI_email = surcis@jive.eu; * address: JIVE * Postbus 2 * 7990 AA Dwingeloo * The Netherlands * phone: +31-521-596508 * during obs:+31-521-596508 * fax: * notes: Ftp fringe test for session 3/2015 * 512 Mbps, L+R, 2-bit sampling, 8 MHz filters * Please send the disk pack by express to JIVE * * year, doy: 2015, 293 * date : Tue 20 Oct 2015 * MJD : 57315 exper_nominal_start=2015y293d11h00m00s; exper_nominal_stop=2015y293d12h00m00s; * *-------------------- cover letter -------------------- * *This is the schedule for the 5cm ftp fringe-test F15M1 on 20 October 2015 *involving 10 antennas: Eb Wb Jb2 Mc O8 Nt Tr Ys Sr Ir. * *Two ftp-fringe tests are scheduled throughout the experiment: *11:09:00 UT (scan 2, 2 sec, 3C345) *11:59:00 UT (scan 7, 2 sec, 3C345) * *Please make sure that the autoftp is set up correctly. Thanks! * *Good luck with the session! * *Gabriele *Support Scientist, JIVE * *------------------------- end ------------------------- * target_correlator = JIVE; * * integr_time : 2.000 s * number_channels: 16 * number_antenna : 9 * cross_polarize : Yes * weight_func : UNIFORM * distrib_medium : FTP * distrib_format : FITS * source_pos_cat : STANDARD * distribute_to : * Gabriele Surcis * corr_notes : * Cheers! * enddef; *------------------------------------------------------------------------------ $MODE; * def sess315.M512; ref $PROCEDURES = Mode_01; ref $FREQ = 6643.49MHz16x8MHz:Ef:Mc:O8:Nt:Ys:Sr:Ex:Ox; ref $FREQ = 6643.49MHz16x8MHz#02:Wb:Jb:Tr:Ir; ref $IF = LO@5900MHzDPolNoTone:Ef:Ex; ref $IF = LO@6264MHzDPolNoTone:Wb; ref $IF = LO@6520MHzDPolNoTone:Jb; ref $IF = LO@6400MHzDPolNoTone:Mc:Sr; ref $IF = LO@6250MHzDPolNoTone:O8:Ox; ref $IF = LO@6956MHzDPolNoTone:Nt; ref $IF = LO@5900MHzDPolNoTone#02:Tr:Ir; ref $IF = LO@5910MHzDPolNoTone:Ys; ref $BBC = 16BBCs:Ef:Ex; ref $BBC = 16BBCs#02:Wb:Jb:Tr:Ir; ref $BBC = 16BBCs#03:Mc:O8:Ys:Sr:Ox; ref $BBC = 16BBCs#04:Nt; ref $BITSTREAMS = EfBtstrm1:Ef:Jb:O8:Tr:Ys:Ir:Wb; ref $BITSTREAMS = NtBtstrm1:Nt; ref $THREADS = VDIF512:Mc:Sr:Ex:Ox; ref $TRACKS = EfTrk5B01:Ef:Jb:O8:Tr:Ys:Ir:Wb:Ex:Ox; ref $TRACKS = NtTrk5B01:Nt; ref $TRACKS = VDIF.16Ch2bit1to1:Mc:Sr; * ref $HEAD_POS = DiskVoid <= obsolete definition ref $ROLL = NoRoll:Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox; * ref $PASS_ORDER = DiskVoid <= obsolete definition ref $PHASE_CAL_DETECT = NoDetect:Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox; enddef; *------------------------------------------------------------------------------ $STATION; * def Ef; ref $TAPELOG_OBS = EF; ref $CLOCK = EF; ref $SITE = EFLSBERG; ref $ANTENNA = EFLSBERG; ref $DAS = 2DBBC+NONE<; enddef; * def Ex; ref $TAPELOG_OBS = EX; ref $CLOCK = EX; ref $SITE = EF_FLXBF; ref $ANTENNA = EF_FLXBF; ref $DAS = 2DBBC+NONE<#02; enddef; * def Wb; ref $TAPELOG_OBS = WB; ref $CLOCK = WB; ref $SITE = WSTRBORK; ref $ANTENNA = WSTRBORK; ref $DAS = 2DBBC+NONE<; enddef; * def Jb; ref $TAPELOG_OBS = JB; ref $CLOCK = JB; ref $SITE = JODRELL2; ref $ANTENNA = JODRELL2; ref $DAS = 2DBBC+NONE<; enddef; * def Mc; ref $TAPELOG_OBS = MC; ref $CLOCK = MC; ref $SITE = MEDICINA; ref $ANTENNA = MEDICINA; ref $DAS = 2DBBC+NONE<#02; enddef; * def O8; ref $TAPELOG_OBS = O8; ref $CLOCK = O8; ref $SITE = ONSALA85; ref $ANTENNA = ONSALA85; ref $DAS = 2DBBC+NONE<; enddef; * def Ox; ref $TAPELOG_OBS = OX; ref $CLOCK = OX; ref $SITE = ON_FLXBF; ref $ANTENNA = ON_FLXBF; ref $DAS = 2DBBC+NONE<#02; enddef; * def Nt; ref $TAPELOG_OBS = NT; ref $CLOCK = NT; ref $SITE = NOTO; ref $ANTENNA = NOTO; ref $DAS = 2DBBC+NONE<; enddef; * def Tr; ref $TAPELOG_OBS = TR; ref $CLOCK = TR; ref $SITE = TORUN; ref $ANTENNA = TORUN; ref $DAS = 2DBBC+NONE<; enddef; * def Ys; ref $TAPELOG_OBS = YS; ref $CLOCK = YS; ref $SITE = YEBES40M; ref $ANTENNA = YEBES40M; ref $DAS = 2DBBC+NONE<; enddef; * def Sr; ref $TAPELOG_OBS = SR; ref $CLOCK = SR; ref $SITE = SARDINIA; ref $ANTENNA = SARDINIA; ref $DAS = 2DBBC+NONE<#02; enddef; * def Ir; ref $TAPELOG_OBS = IR; ref $CLOCK = IR; ref $SITE = IRBENE; ref $ANTENNA = IRBENE; ref $DAS = 2DBBC+NONE<; enddef; *------------------------------------------------------------------------------ $PROCEDURES; * def Mode_01; procedure_name_prefix = "01"; tape_change = 420 sec; headstack_motion = 6 sec; new_source_command = 5 sec; new_tape_setup = 20 sec; setup_always = on : 20 sec; parity_check = off : 100 sec; tape_prepass = off : 600 sec; preob_cal = on : 10 sec : preob; midob_cal = on : 15 sec : midob; postob_cal = on : 0 sec : postob; enddef; *------------------------------------------------------------------------------ $SITE; * def EFLSBERG; site_type = fixed; site_name = EFLSBERG; site_ID = Ef; * elev= 416.72 long=-006:53:01. lat= 50:31:29.4 ** site_position = 4033947.2616 m: 486990.7866 m: 4900430.9915 m; site_position = 4033947.2235 m: 486990.8340 m: 4900431.0216 m; * First line below is VEX standard format. Use only when readers are ready. horizon_map_az = 0.0 deg: 10.0: 20.0: 30.0: 40.0: 50.0: 60.0: 70.0: 80.0: 90.0:100.0:110.0:120.0:130.0:140.0:150.0:160.0: 170.0:180.0:190.0:200.0:210.0:220.0:230.0:240.0: 250.0:260.0:270.0:280.0:290.0:300.0:310.0:320.0: 330.0:340.0:350.0:360.0; horizon_map_el = 11.0 deg: 13.0: 16.0: 19.0: 21.0: 21.0: 21.0: 20.0: 18.0: 15.0: 16.0: 16.0: 15.0: 15.0: 13.0: 8.0: 7.0: 7.0: 7.0: 7.0: 9.0: 11.0: 11.0: 11.0: 10.0: 9.0: 8.0: 12.0: 14.0: 14.0: 14.0: 14.0: 13.0: 8.0: 7.0: 8.0: 11.0; enddef; * def EF_FLXBF; site_type = fixed; site_name = EF_FLXBF; site_ID = Ex; * elev= 416.72 long=-006:53:01. lat= 50:31:29.4 ** site_position = 4033947.2616 m: 486990.7866 m: 4900430.9915 m; site_position = 4033947.2235 m: 486990.8340 m: 4900431.0216 m; * First line below is VEX standard format. Use only when readers are ready. horizon_map_az = 0.0 deg: 10.0: 20.0: 30.0: 40.0: 50.0: 60.0: 70.0: 80.0: 90.0:100.0:110.0:120.0:130.0:140.0:150.0:160.0: 170.0:180.0:190.0:200.0:210.0:220.0:230.0:240.0: 250.0:260.0:270.0:280.0:290.0:300.0:310.0:320.0: 330.0:340.0:350.0:360.0; horizon_map_el = 11.0 deg: 13.0: 16.0: 19.0: 21.0: 21.0: 21.0: 20.0: 18.0: 15.0: 16.0: 16.0: 15.0: 15.0: 13.0: 8.0: 7.0: 7.0: 7.0: 7.0: 9.0: 11.0: 11.0: 11.0: 10.0: 9.0: 8.0: 12.0: 14.0: 14.0: 14.0: 14.0: 13.0: 8.0: 7.0: 8.0: 11.0; enddef; * def WSTRBORK; site_type = fixed; site_name = WSTRBORK; site_ID = Wb; * elev= 70.99 long=-006:35:30. lat= 52:54:55.1 ** site_position = 3828767.2647 m: 442446.1739 m: 5064921.5700 m; site_position = 3828767.0103 m: 442446.4942 m: 5064921.7341 m; * First line below is VEX standard format. Use only when readers are ready. * site_position_epoch = 1997y001d; enddef; * def JODRELL2; site_type = fixed; site_name = JODRELL2; site_ID = Jb; * elev= 143.77 long= 002:18:14. lat= 53:14:02.3 ** site_position = 3822846.7600 m: -153802.2800 m: 5086285.9000 m; site_position = 3822846.5400 m: -153801.9597 m: 5086286.0750 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def MEDICINA; site_type = fixed; site_name = MEDICINA; site_ID = Mc; * elev= 67.15 long=-011:38:49. lat= 44:31:13.8 ** site_position = 4461369.6954 m: 919597.1240 m: 4449559.3812 m; site_position = 4461369.6447 m: 919597.1767 m: 4449559.4122 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def ONSALA85; site_type = fixed; site_name = ONSALA85; site_ID = O8; * elev= 58.48 long=-011:55:04. lat= 57:23:35.1 ** site_position = 3370965.9090 m: 711466.1978 m: 5349664.1947 m; site_position = 3370965.8698 m: 711466.2388 m: 5349664.2234 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def ON_FLXBF; site_type = fixed; site_name = ON_FLXBF; site_ID = Ox; * elev= 58.48 long=-011:55:04. lat= 57:23:35.1 ** site_position = 3370965.9090 m: 711466.1978 m: 5349664.1947 m; site_position = 3370965.8698 m: 711466.2388 m: 5349664.2234 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def NOTO; site_type = fixed; site_name = NOTO; site_ID = Nt; * elev= 143.22 long=-014:59:20. lat= 36:52:33.8 ** site_position = 4934562.8407 m: 1321201.5428 m: 3806484.7336 m; site_position = 4934562.7918 m: 1321201.5922 m: 3806484.7757 m; * First line below is VEX standard format. Use only when readers are ready. horizon_map_az = 0.0 deg: 10.0: 30.0: 70.0:100.0:120.0:150.0:180.0:300.0: 310.0:360.0; horizon_map_el = 8.0 deg: 7.0: 6.0: 9.0: 6.5: 5.0: 6.0: 5.0: 5.0: 6.5: 7.5; enddef; * def TORUN; site_type = fixed; site_name = TORUN; site_ID = Tr; * elev= 133.61 long=-018:33:50. lat= 53:05:43.7 ** site_position = 3638558.5100 m: 1221969.7200 m: 5077036.7600 m; site_position = 3638558.2090 m: 1221970.0293 m: 5077036.9014 m; * First line below is VEX standard format. Use only when readers are ready. horizon_map_az = 0.0 deg:360.0; horizon_map_el = 2.0 deg: 2.0; enddef; * def YEBES40M; site_type = fixed; site_name = YEBES40M; site_ID = Ys; * elev= 988.95 long= 003:05:12. lat= 40:31:28.8 ** site_position = 4848761.8217 m: -261484.1869 m: 4123085.0387 m; site_position = 4848761.8105 m: -261484.1349 m: 4123085.0854 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def SARDINIA; site_type = fixed; site_name = SARDINIA; site_ID = Sr; * elev= 671.67 long=-009:14:42. lat= 39:29:35.1 ** site_position = 4865182.7660 m: 791922.6890 m: 4035137.1740 m; site_position = 4865182.7389 m: 791922.7232 m: 4035137.2082 m; * First line below is VEX standard format. Use only when readers are ready. enddef; * def IRBENE; site_type = fixed; site_name = IRBENE; site_ID = Ir; * elev= 87.30 long=-021:51:17. lat= 57:33:12.3 site_position = 3183661.00000 m: 1276902.00000 m: 5359291.00000 m; site_velocity = 0.000000 m/yr: 0.000000 m/yr: 0.000000 m/yr; * First line below is VEX standard format. Use only when readers are ready. enddef; *------------------------------------------------------------------------------ $ANTENNA; * def EFLSBERG; axis_type = az : el; antenna_motion = el : 15.0 deg/min : 9 sec; * 0.020 deg/sec/sec antenna_motion = az : 25.0 deg/min : 9 sec; * 0.020 deg/sec/sec pointing_sector = &ccw : az : 34.00 deg: 120.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 120.00 deg: 394.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 394.00 deg: 480.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 3 axis_offset = 0.01450 m; enddef; * def EF_FLXBF; axis_type = az : el; antenna_motion = el : 15.0 deg/min : 9 sec; * 0.020 deg/sec/sec antenna_motion = az : 25.0 deg/min : 9 sec; * 0.020 deg/sec/sec pointing_sector = &ccw : az : 34.00 deg: 120.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 120.00 deg: 394.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 394.00 deg: 480.00 deg: el : 9.00 deg: 89.00 deg; * cable wrap zone 3 axis_offset = 0.01450 m; enddef; * def WSTRBORK; axis_type = ha : dec; antenna_motion = dec : 18.0 deg/min : 10 sec; * 0.100 deg/sec/sec antenna_motion = ha : 18.0 deg/min : 10 sec; * 0.100 deg/sec/sec axis_offset = 4.95000 m; enddef; * def JODRELL2; axis_type = az : el; antenna_motion = el : 20.0 deg/min : 2 sec; * 0.022 deg/sec/sec antenna_motion = az : 20.0 deg/min : 2 sec; * 0.016 deg/sec/sec pointing_sector = &ccw : az : -90.00 deg: -30.00 deg: el : 1.00 deg: 90.00 deg; * cable wrap zone 1 pointing_sector = &n : az : -30.00 deg: 270.00 deg: el : 1.00 deg: 90.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 270.00 deg: 330.00 deg: el : 1.00 deg: 90.00 deg; * cable wrap zone 3 axis_offset = 0.45800 m; enddef; * def MEDICINA; axis_type = az : el; antenna_motion = el : 30.0 deg/min : 3 sec; * 0.310 deg/sec/sec antenna_motion = az : 45.0 deg/min : 3 sec; * 0.820 deg/sec/sec pointing_sector = &ccw : az : 270.00 deg: 450.00 deg: el : 5.00 deg: 88.50 deg; * cable wrap zone 1 pointing_sector = &n : az : 450.00 deg: 630.00 deg: el : 5.00 deg: 88.50 deg; * cable wrap zone 2 pointing_sector = &cw : az : 630.00 deg: 810.00 deg: el : 5.00 deg: 88.50 deg; * cable wrap zone 3 axis_offset = 1.82790 m; enddef; * def ONSALA85; axis_type = ha : dec; antenna_motion = dec : 14.7 deg/min : 5 sec; * 0.052 deg/sec/sec antenna_motion = ha : 17.6 deg/min : 5 sec; * 0.070 deg/sec/sec axis_offset = 2.15000 m; enddef; * def ON_FLXBF; axis_type = ha : dec; antenna_motion = dec : 14.7 deg/min : 5 sec; * 0.052 deg/sec/sec antenna_motion = ha : 17.6 deg/min : 5 sec; * 0.070 deg/sec/sec axis_offset = 2.15000 m; enddef; * def NOTO; axis_type = az : el; antenna_motion = el : 30.0 deg/min : 2 sec; * 1000.000 deg/sec/sec antenna_motion = az : 43.0 deg/min : 2 sec; * 1000.000 deg/sec/sec pointing_sector = &ccw : az : -87.00 deg: 90.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 90.00 deg: 273.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 273.00 deg: 450.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 3 axis_offset = 1.83160 m; enddef; * def TORUN; axis_type = az : el; antenna_motion = el : 16.0 deg/min : 5 sec; * 0.030 deg/sec/sec antenna_motion = az : 30.0 deg/min : 5 sec; * 0.050 deg/sec/sec pointing_sector = &ccw : az : -80.00 deg: 80.00 deg: el : 3.00 deg: 90.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 80.00 deg: 280.00 deg: el : 3.00 deg: 90.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 280.00 deg: 440.00 deg: el : 3.00 deg: 90.00 deg; * cable wrap zone 3 pointing_sector = &ccwp : az : -80.00 deg: 80.00 deg: el : 90.00 deg: 93.00 deg; * cable wrap zone 4 pointing_sector = &np : az : 80.00 deg: 280.00 deg: el : 90.00 deg: 93.00 deg; * cable wrap zone 5 pointing_sector = &cwp : az : 280.00 deg: 440.00 deg: el : 90.00 deg: 93.00 deg; * cable wrap zone 6 axis_offset = 0.00000 m; enddef; * def YEBES40M; axis_type = az : el; antenna_motion = el : 60.0 deg/min : 15 sec; * 1000.000 deg/sec/sec antenna_motion = az : 60.0 deg/min : 15 sec; * 1000.000 deg/sec/sec pointing_sector = &ccw : az : -60.00 deg: 60.00 deg: el : 5.00 deg: 88.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 60.00 deg: 300.00 deg: el : 5.00 deg: 88.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 300.00 deg: 420.00 deg: el : 5.00 deg: 88.00 deg; * cable wrap zone 3 axis_offset = 2.00030 m; enddef; * def SARDINIA; axis_type = az : el; antenna_motion = el : 30.0 deg/min : 3 sec; * 0.180 deg/sec/sec antenna_motion = az : 51.0 deg/min : 3 sec; * 0.300 deg/sec/sec pointing_sector = &ccw : az : -90.00 deg: 90.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 1 pointing_sector = &n : az : 90.00 deg: 270.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 270.00 deg: 450.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 3 axis_offset = 0.00000 m; enddef; * def IRBENE; axis_type = az : el; antenna_motion = el : 120.0 deg/min : 3 sec; * 1000.000 deg/sec/sec antenna_motion = az : 120.0 deg/min : 3 sec; * 1000.000 deg/sec/sec pointing_sector = &ccw : az :-330.00 deg: -30.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 1 pointing_sector = &n : az : -30.00 deg: 30.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 2 pointing_sector = &cw : az : 30.00 deg: 330.00 deg: el : 5.00 deg: 85.00 deg; * cable wrap zone 3 axis_offset = 0.00000 m; enddef; *------------------------------------------------------------------------------ $DAS; * def 2DBBC+NONE<; record_transport_type = Mark5B; electronics_rack_type = DBBC; number_drives = 2; headstack = 1 : : 0 ; headstack = 2 : : 1 ; tape_motion = adaptive : 0 min: 0 min: 10 sec; enddef; * def 2DBBC+NONE<#02; record_transport_type = Mark5C; electronics_rack_type = WIDAR; number_drives = 2; headstack = 1 : : 0 ; headstack = 2 : : 1 ; tape_motion = adaptive : 0 min: 0 min: 10 sec; enddef; *------------------------------------------------------------------------------ $SOURCE; * def 3C345; source_name = 3C345; * this source had calibrator code: V * alternate source name: J1642+3948 * alternate source name: 1641+399 * alternate source name: J1642+39 * GSFC 2015a astro solution, unpublished 53430 observations. ra = 16h42m58.8099658s; dec = 39d48'36.994018"; ref_coord_frame = J2000; * ra = 16h41m17.6062284s; dec = 39d54'10.814957"; ref_coord_frame = B1950; * ra = 16h43m29.3070492s; dec = 39d47'15.295730"; ref_coord_frame = Date; enddef; *------------------------------------------------------------------------------ $FREQ; * def 6643.49MHz16x8MHz; * mode = 1 stations =Ef:Mc:O8:Nt:Ys:Sr:Ex:Ox sample_rate = 16.000 Ms/sec; * (2bits/sample) chan_def = : 6643.49 MHz : L : 8.00 MHz : &CH01 : &BBC01 : &NoCal; *Rcp chan_def = : 6643.49 MHz : L : 8.00 MHz : &CH02 : &BBC09 : &NoCal; *Lcp chan_def = : 6643.49 MHz : U : 8.00 MHz : &CH03 : &BBC01 : &NoCal; *Rcp chan_def = : 6643.49 MHz : U : 8.00 MHz : &CH04 : &BBC09 : &NoCal; *Lcp chan_def = : 6659.49 MHz : L : 8.00 MHz : &CH05 : &BBC02 : &NoCal; *Rcp chan_def = : 6659.49 MHz : L : 8.00 MHz : &CH06 : &BBC10 : &NoCal; *Lcp chan_def = : 6659.49 MHz : U : 8.00 MHz : &CH07 : &BBC02 : &NoCal; *Rcp chan_def = : 6659.49 MHz : U : 8.00 MHz : &CH08 : &BBC10 : &NoCal; *Lcp chan_def = : 6675.49 MHz : L : 8.00 MHz : &CH09 : &BBC03 : &NoCal; *Rcp chan_def = : 6675.49 MHz : L : 8.00 MHz : &CH10 : &BBC11 : &NoCal; *Lcp chan_def = : 6675.49 MHz : U : 8.00 MHz : &CH11 : &BBC03 : &NoCal; *Rcp chan_def = : 6675.49 MHz : U : 8.00 MHz : &CH12 : &BBC11 : &NoCal; *Lcp chan_def = : 6691.49 MHz : L : 8.00 MHz : &CH13 : &BBC04 : &NoCal; *Rcp chan_def = : 6691.49 MHz : L : 8.00 MHz : &CH14 : &BBC12 : &NoCal; *Lcp chan_def = : 6691.49 MHz : U : 8.00 MHz : &CH15 : &BBC04 : &NoCal; *Rcp chan_def = : 6691.49 MHz : U : 8.00 MHz : &CH16 : &BBC12 : &NoCal; *Lcp enddef; * def 6643.49MHz16x8MHz#02; * mode = 1 stations =Wb:Jb:Tr:Ir sample_rate = 16.000 Ms/sec; * (2bits/sample) chan_def = : 6643.49 MHz : L : 8.00 MHz : &CH01 : &BBC01 : &NoCal; *Rcp chan_def = : 6643.49 MHz : L : 8.00 MHz : &CH02 : &BBC05 : &NoCal; *Lcp chan_def = : 6643.49 MHz : U : 8.00 MHz : &CH03 : &BBC01 : &NoCal; *Rcp chan_def = : 6643.49 MHz : U : 8.00 MHz : &CH04 : &BBC05 : &NoCal; *Lcp chan_def = : 6659.49 MHz : L : 8.00 MHz : &CH05 : &BBC02 : &NoCal; *Rcp chan_def = : 6659.49 MHz : L : 8.00 MHz : &CH06 : &BBC06 : &NoCal; *Lcp chan_def = : 6659.49 MHz : U : 8.00 MHz : &CH07 : &BBC02 : &NoCal; *Rcp chan_def = : 6659.49 MHz : U : 8.00 MHz : &CH08 : &BBC06 : &NoCal; *Lcp chan_def = : 6675.49 MHz : L : 8.00 MHz : &CH09 : &BBC03 : &NoCal; *Rcp chan_def = : 6675.49 MHz : L : 8.00 MHz : &CH10 : &BBC07 : &NoCal; *Lcp chan_def = : 6675.49 MHz : U : 8.00 MHz : &CH11 : &BBC03 : &NoCal; *Rcp chan_def = : 6675.49 MHz : U : 8.00 MHz : &CH12 : &BBC07 : &NoCal; *Lcp chan_def = : 6691.49 MHz : L : 8.00 MHz : &CH13 : &BBC04 : &NoCal; *Rcp chan_def = : 6691.49 MHz : L : 8.00 MHz : &CH14 : &BBC08 : &NoCal; *Lcp chan_def = : 6691.49 MHz : U : 8.00 MHz : &CH15 : &BBC04 : &NoCal; *Rcp chan_def = : 6691.49 MHz : U : 8.00 MHz : &CH16 : &BBC08 : &NoCal; *Lcp enddef; *------------------------------------------------------------------------------ $IF; * def LO@5900MHzDPolNoTone; * mode = 1 stations =Ef:Ex if_def = &IF_A1 : A1 : R : 5900.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_C3 : C3 : L : 5900.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@6264MHzDPolNoTone; * mode = 1 stations =Wb if_def = &IF_A1 : A1 : R : 6264.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_B1 : B1 : L : 6264.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@6520MHzDPolNoTone; * mode = 1 stations =Jb if_def = &IF_A1 : A1 : R : 6520.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_B1 : B1 : L : 6520.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@6250MHzDPolNoTone; * mode = 1 stations =O8:Ox if_def = &IF_A1 : A1 : R : 6250.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_C1 : C1 : L : 6250.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@6956MHzDPolNoTone; * mode = 1 stations =Nt if_def = &IF_A1 : A1 : R : 6956.00 MHz : L ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_C2 : C2 : L : 6956.00 MHz : L ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@5900MHzDPolNoTone#02; * mode = 1 stations =Tr:Ir if_def = &IF_A1 : A1 : R : 5900.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_B1 : B1 : L : 5900.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@5910MHzDPolNoTone; * mode = 1 stations =Ys if_def = &IF_A1 : A1 : R : 5910.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_C1 : C1 : L : 5910.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; * def LO@6400MHzDPolNoTone; * mode = 1 stations =Mc:Sr if_def = &IF_A1 : A1 : R : 6400.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA if_def = &IF_C1 : C1 : L : 6400.00 MHz : U ; * PCall off! 0.0 0.0 NA 0 NA enddef; *------------------------------------------------------------------------------ $BBC; * def 16BBCs; * mode = 1 stations =Ef:Ex BBC_assign = &BBC01 : 1 : &IF_A1; BBC_assign = &BBC09 : 9 : &IF_C3; BBC_assign = &BBC02 : 2 : &IF_A1; BBC_assign = &BBC10 : 10 : &IF_C3; BBC_assign = &BBC03 : 3 : &IF_A1; BBC_assign = &BBC11 : 11 : &IF_C3; BBC_assign = &BBC04 : 4 : &IF_A1; BBC_assign = &BBC12 : 12 : &IF_C3; enddef; * def 16BBCs#02; * mode = 1 stations =Wb:Jb:Tr:Ir BBC_assign = &BBC01 : 1 : &IF_A1; BBC_assign = &BBC05 : 5 : &IF_B1; BBC_assign = &BBC02 : 2 : &IF_A1; BBC_assign = &BBC06 : 6 : &IF_B1; BBC_assign = &BBC03 : 3 : &IF_A1; BBC_assign = &BBC07 : 7 : &IF_B1; BBC_assign = &BBC04 : 4 : &IF_A1; BBC_assign = &BBC08 : 8 : &IF_B1; enddef; * def 16BBCs#03; * mode = 1 stations =Mc:O8:Ys:Sr:Ox BBC_assign = &BBC01 : 1 : &IF_A1; BBC_assign = &BBC09 : 9 : &IF_C1; BBC_assign = &BBC02 : 2 : &IF_A1; BBC_assign = &BBC10 : 10 : &IF_C1; BBC_assign = &BBC03 : 3 : &IF_A1; BBC_assign = &BBC11 : 11 : &IF_C1; BBC_assign = &BBC04 : 4 : &IF_A1; BBC_assign = &BBC12 : 12 : &IF_C1; enddef; * def 16BBCs#04; * mode = 1 stations =Nt BBC_assign = &BBC01 : 1 : &IF_A1; BBC_assign = &BBC09 : 9 : &IF_C2; BBC_assign = &BBC02 : 2 : &IF_A1; BBC_assign = &BBC10 : 10 : &IF_C2; BBC_assign = &BBC03 : 3 : &IF_A1; BBC_assign = &BBC11 : 11 : &IF_C2; BBC_assign = &BBC04 : 4 : &IF_A1; BBC_assign = &BBC12 : 12 : &IF_C2; enddef; *------------------------------------------------------------------------------ $PHASE_CAL_DETECT; * def NoDetect; * mode = 1 stations =Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox phase_cal_detect = &NoCal; enddef; *------------------------------------------------------------------------------ $BITSTREAMS; * def EfBtstrm1; * Stations = Ef:Jb:O8:Tr:Ys:Ir:Wb stream_def = &CH01 : sign : 16 : 16; stream_def = &CH01 : mag : 17 : 17; stream_def = &CH02 : sign : 24 : 24; stream_def = &CH02 : mag : 25 : 25; stream_def = &CH03 : sign : 0 : 0; stream_def = &CH03 : mag : 1 : 1; stream_def = &CH04 : sign : 8 : 8; stream_def = &CH04 : mag : 9 : 9; stream_def = &CH05 : sign : 18 : 18; stream_def = &CH05 : mag : 19 : 19; stream_def = &CH06 : sign : 26 : 26; stream_def = &CH06 : mag : 27 : 27; stream_def = &CH07 : sign : 2 : 2; stream_def = &CH07 : mag : 3 : 3; stream_def = &CH08 : sign : 10 : 10; stream_def = &CH08 : mag : 11 : 11; stream_def = &CH09 : sign : 20 : 20; stream_def = &CH09 : mag : 21 : 21; stream_def = &CH10 : sign : 28 : 28; stream_def = &CH10 : mag : 29 : 29; stream_def = &CH11 : sign : 4 : 4; stream_def = &CH11 : mag : 5 : 5; stream_def = &CH12 : sign : 12 : 12; stream_def = &CH12 : mag : 13 : 13; stream_def = &CH13 : sign : 22 : 22; stream_def = &CH13 : mag : 23 : 23; stream_def = &CH14 : sign : 30 : 30; stream_def = &CH14 : mag : 31 : 31; stream_def = &CH15 : sign : 6 : 6; stream_def = &CH15 : mag : 7 : 7; stream_def = &CH16 : sign : 14 : 14; stream_def = &CH16 : mag : 15 : 15; enddef; * def NtBtstrm1; * Stations = Nt stream_def = &CH01 : sign : 0 : 0; stream_def = &CH01 : mag : 1 : 1; stream_def = &CH02 : sign : 8 : 8; stream_def = &CH02 : mag : 9 : 9; stream_def = &CH03 : sign : 16 : 16; stream_def = &CH03 : mag : 17 : 17; stream_def = &CH04 : sign : 24 : 24; stream_def = &CH04 : mag : 25 : 25; stream_def = &CH05 : sign : 2 : 2; stream_def = &CH05 : mag : 3 : 3; stream_def = &CH06 : sign : 10 : 10; stream_def = &CH06 : mag : 11 : 11; stream_def = &CH07 : sign : 18 : 18; stream_def = &CH07 : mag : 19 : 19; stream_def = &CH08 : sign : 26 : 26; stream_def = &CH08 : mag : 27 : 27; stream_def = &CH09 : sign : 4 : 4; stream_def = &CH09 : mag : 5 : 5; stream_def = &CH10 : sign : 12 : 12; stream_def = &CH10 : mag : 13 : 13; stream_def = &CH11 : sign : 20 : 20; stream_def = &CH11 : mag : 21 : 21; stream_def = &CH12 : sign : 28 : 28; stream_def = &CH12 : mag : 29 : 29; stream_def = &CH13 : sign : 6 : 6; stream_def = &CH13 : mag : 7 : 7; stream_def = &CH14 : sign : 14 : 14; stream_def = &CH14 : mag : 15 : 15; stream_def = &CH15 : sign : 22 : 22; stream_def = &CH15 : mag : 23 : 23; stream_def = &CH16 : sign : 30 : 30; stream_def = &CH16 : mag : 31 : 31; enddef; *------------------------------------------------------------------------------ $THREADS; * *** single-thread set-up; worked for VDIF in Gb/s mode in N15Q1 *** converted to 512Mb/s *** FORMAT: last field = total bit-rate over all threads *** THREAD: thrd.ID : backend # : recorder # : data-rate of thread : N_chan : N_bit : : : bytes/packet ; def VDIF512; format = VDIF : : 512; thread = 0 : 1 : 1 : 512 : 16 : 2 : : : 8000; channel = &CH01 : 0 : 8; channel = &CH02 : 0 : 12; channel = &CH03 : 0 : 0; channel = &CH04 : 0 : 4; channel = &CH05 : 0 : 9; channel = &CH06 : 0 : 13; channel = &CH07 : 0 : 1; channel = &CH08 : 0 : 5; channel = &CH09 : 0 : 10; channel = &CH10 : 0 : 14; channel = &CH11 : 0 : 2; channel = &CH12 : 0 : 6; channel = &CH13 : 0 : 11; channel = &CH14 : 0 : 15; channel = &CH15 : 0 : 3; channel = &CH16 : 0 : 7; enddef; *------------------------------------------------------------------------------ $TRACKS; * def EfTrk5B01; * format = Mark5B and fanout = 1 * mode = 01 stations = Ef:Jb:O8:Tr:Ys:Ir:Wb track_frame_format = VLBA; data_modulation = off; fanout_def = : &CH01 : sign : 1: 4; fanout_def = : &CH01 : mag : 1: 8; fanout_def = : &CH02 : sign : 1: 5; fanout_def = : &CH02 : mag : 1: 9; fanout_def = : &CH03 : sign : 1: 2; fanout_def = : &CH03 : mag : 1: 6; fanout_def = : &CH04 : sign : 1: 3; fanout_def = : &CH04 : mag : 1: 7; fanout_def = : &CH05 : sign : 1: 12; fanout_def = : &CH05 : mag : 1: 16; fanout_def = : &CH06 : sign : 1: 13; fanout_def = : &CH06 : mag : 1: 17; fanout_def = : &CH07 : sign : 1: 10; fanout_def = : &CH07 : mag : 1: 14; fanout_def = : &CH08 : sign : 1: 11; fanout_def = : &CH08 : mag : 1: 15; fanout_def = : &CH09 : sign : 1: 20; fanout_def = : &CH09 : mag : 1: 24; fanout_def = : &CH10 : sign : 1: 21; fanout_def = : &CH10 : mag : 1: 25; fanout_def = : &CH11 : sign : 1: 18; fanout_def = : &CH11 : mag : 1: 22; fanout_def = : &CH12 : sign : 1: 19; fanout_def = : &CH12 : mag : 1: 23; fanout_def = : &CH13 : sign : 1: 28; fanout_def = : &CH13 : mag : 1: 32; fanout_def = : &CH14 : sign : 1: 29; fanout_def = : &CH14 : mag : 1: 33; fanout_def = : &CH15 : sign : 1: 26; fanout_def = : &CH15 : mag : 1: 30; fanout_def = : &CH16 : sign : 1: 27; fanout_def = : &CH16 : mag : 1: 31; enddef; * def NtTrk5B01; * format = Mark5B and fanout = 1 * mode = 01 stations = Nt track_frame_format = VLBA; data_modulation = off; fanout_def = : &CH01 : sign : 1: 2; fanout_def = : &CH01 : mag : 1: 6; fanout_def = : &CH02 : sign : 1: 3; fanout_def = : &CH02 : mag : 1: 7; fanout_def = : &CH03 : sign : 1: 4; fanout_def = : &CH03 : mag : 1: 8; fanout_def = : &CH04 : sign : 1: 5; fanout_def = : &CH04 : mag : 1: 9; fanout_def = : &CH05 : sign : 1: 10; fanout_def = : &CH05 : mag : 1: 14; fanout_def = : &CH06 : sign : 1: 11; fanout_def = : &CH06 : mag : 1: 15; fanout_def = : &CH07 : sign : 1: 12; fanout_def = : &CH07 : mag : 1: 16; fanout_def = : &CH08 : sign : 1: 13; fanout_def = : &CH08 : mag : 1: 17; fanout_def = : &CH09 : sign : 1: 18; fanout_def = : &CH09 : mag : 1: 22; fanout_def = : &CH10 : sign : 1: 19; fanout_def = : &CH10 : mag : 1: 23; fanout_def = : &CH11 : sign : 1: 20; fanout_def = : &CH11 : mag : 1: 24; fanout_def = : &CH12 : sign : 1: 21; fanout_def = : &CH12 : mag : 1: 25; fanout_def = : &CH13 : sign : 1: 26; fanout_def = : &CH13 : mag : 1: 30; fanout_def = : &CH14 : sign : 1: 27; fanout_def = : &CH14 : mag : 1: 31; fanout_def = : &CH15 : sign : 1: 28; fanout_def = : &CH15 : mag : 1: 32; fanout_def = : &CH16 : sign : 1: 29; fanout_def = : &CH16 : mag : 1: 33; enddef; * def VDIF.16Ch2bit1to1; * mode = 1 stations = Mc:Sr:Ex:Ox * firmware_type = DBBC_DDC; * format = VDIF, and fan-out = 1 * mode requires 16.00Mb/s/tr; stations using disks track_frame_format = VDIF5032; fanout_def = : &CH01 : sign : 1: 1; fanout_def = : &CH01 : mag : 1: 1; fanout_def = : &CH02 : sign : 1: 2; fanout_def = : &CH02 : mag : 1: 2; fanout_def = : &CH03 : sign : 1: 3; fanout_def = : &CH03 : mag : 1: 3; fanout_def = : &CH04 : sign : 1: 4; fanout_def = : &CH04 : mag : 1: 4; fanout_def = : &CH05 : sign : 1: 5; fanout_def = : &CH05 : mag : 1: 5; fanout_def = : &CH06 : sign : 1: 6; fanout_def = : &CH06 : mag : 1: 6; fanout_def = : &CH07 : sign : 1: 7; fanout_def = : &CH07 : mag : 1: 7; fanout_def = : &CH08 : sign : 1: 8; fanout_def = : &CH08 : mag : 1: 8; fanout_def = : &CH09 : sign : 1: 9; fanout_def = : &CH09 : mag : 1: 9; fanout_def = : &CH10 : sign : 1: 10; fanout_def = : &CH10 : mag : 1: 10; fanout_def = : &CH11 : sign : 1: 11; fanout_def = : &CH11 : mag : 1: 11; fanout_def = : &CH12 : sign : 1: 12; fanout_def = : &CH12 : mag : 1: 12; fanout_def = : &CH13 : sign : 1: 13; fanout_def = : &CH13 : mag : 1: 13; fanout_def = : &CH14 : sign : 1: 14; fanout_def = : &CH14 : mag : 1: 14; fanout_def = : &CH15 : sign : 1: 15; fanout_def = : &CH15 : mag : 1: 15; fanout_def = : &CH16 : sign : 1: 16; fanout_def = : &CH16 : mag : 1: 16; enddef; *------------------------------------------------------------------------------ * $HEAD_POS; def DiskVoid; * mode = 1 stations =Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox * Head positions irrelevant for Disk: empty def enddef; *------------------------------------------------------------------------------ $PASS_ORDER; * def DiskVoid; * mode = 1 stations =Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox * Pass orders irrelevant for Disk: empty def enddef; *------------------------------------------------------------------------------ $ROLL; * def NoRoll; * mode = 1 stations =Ef:Wb:Jb:Mc:O8:Nt:Tr:Ys:Sr:Ir:Ex:Ox * barrel-roll set to 'roll_off', so reverse-roll by 0 (1 head) roll = off; enddef; *------------------------------------------------------------------------------ $SCHED; * Experiment: F15M1 *------------------------------------------------------------------------------- * scan No0001; start=2015y293d11h00m00s; mode=sess315.M512; source=3C345; * : data_good: data_stop: goto_startpos :pass:wrp:drv; station=Ef: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Ex: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Wb: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Jb: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Mc: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=O8: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Ox: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Nt: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Tr: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Ys: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Sr: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; station=Ir: 0 sec: 300 sec: 0.000000000 GB : : : 1 ; endscan; scan No0002; start=2015y293d11h06m00s; mode=sess315.M512; source=3C345; * ftp scan data_transfer=Ef: disk2file: F15M1_ef_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Ex: disk2file: F15M1_ef_No0002.vdif: 180 sec: 182 sec: ; data_transfer=Wb: disk2file: F15M1_wb_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Jb: disk2file: F15M1_jb_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Mc: disk2file: F15M1_mc_No0002.vdif: 180 sec: 182 sec: ; data_transfer=O8: disk2file: F15M1_o8_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Ox: disk2file: F15M1_o8_No0002.vdif: 180 sec: 182 sec: ; data_transfer=Nt: disk2file: F15M1_nt_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Tr: disk2file: F15M1_tr_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Ys: disk2file: F15M1_ys_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Sr: disk2file: F15M1_sr_No0002.m5a: 180 sec: 182 sec: ; data_transfer=Ir: disk2file: F15M1_ir_No0002.m5a: 180 sec: 182 sec: ; station=Ef: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Ex: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Wb: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Jb: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Mc: 0 sec: 240 sec: 19.323000000 GB : : : 1 ; station=O8: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Ox: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Nt: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Tr: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Ys: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; station=Sr: 0 sec: 240 sec: 19.323000000 GB : : : 1 ; station=Ir: 0 sec: 240 sec: 19.231000000 GB : : : 1 ; endscan; scan No0003; start=2015y293d11h14m00s; mode=sess315.M512; source=3C345; station=Ef: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Ex: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Wb: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Jb: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Mc: 0 sec: 360 sec: 34.781000000 GB : : : 1 ; station=O8: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Ox: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Nt: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Tr: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Ys: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; station=Sr: 0 sec: 360 sec: 34.781000000 GB : : : 1 ; station=Ir: 0 sec: 360 sec: 34.615000000 GB : : : 1 ; endscan; scan No0004; start=2015y293d11h22m00s; mode=sess315.M512; source=3C345; station=Ef: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Ex: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Wb: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Jb: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Mc: 0 sec: 480 sec: 57.969000000 GB : : : 1 ; station=O8: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Ox: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Nt: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Tr: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Ys: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; station=Sr: 0 sec: 480 sec: 57.969000000 GB : : : 1 ; station=Ir: 0 sec: 480 sec: 57.692000000 GB : : : 1 ; endscan; scan No0005; start=2015y293d11h32m00s; mode=sess315.M512; source=3C345; station=Ef: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Ex: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Wb: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Jb: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Mc: 0 sec: 480 sec: 88.885000000 GB : : : 1 ; station=O8: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Ox: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Nt: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Tr: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Ys: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; station=Sr: 0 sec: 480 sec: 88.885000000 GB : : : 1 ; station=Ir: 0 sec: 480 sec: 88.461000000 GB : : : 1 ; endscan; scan No0006; start=2015y293d11h42m00s; mode=sess315.M512; source=3C345; station=Ef: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Ex: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Wb: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Jb: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Mc: 0 sec: 480 sec: 119.802000000 GB : : : 1 ; station=O8: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Ox: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Nt: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Tr: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Ys: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; station=Sr: 0 sec: 480 sec: 119.802000000 GB : : : 1 ; station=Ir: 0 sec: 480 sec: 119.230000000 GB : : : 1 ; endscan; scan No0007; start=2015y293d11h52m00s; mode=sess315.M512; source=3C345; * ftp scan data_transfer=Ef: disk2file: F15M1_ef_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Ex: disk2file: F15M1_ef_No0007.vdif: 420 sec: 422 sec: ; data_transfer=Wb: disk2file: F15M1_wb_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Jb: disk2file: F15M1_jb_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Mc: disk2file: F15M1_mc_No0007.vdif: 420 sec: 422 sec: ; data_transfer=O8: disk2file: F15M1_o8_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Ox: disk2file: F15M1_o8_No0007.vdif: 420 sec: 422 sec: ; data_transfer=Nt: disk2file: F15M1_nt_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Tr: disk2file: F15M1_tr_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Ys: disk2file: F15M1_ys_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Sr: disk2file: F15M1_sr_No0007.m5a: 420 sec: 422 sec: ; data_transfer=Ir: disk2file: F15M1_ir_No0007.m5a: 420 sec: 422 sec: ; station=Ef: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Ex: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Wb: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Jb: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Mc: 0 sec: 480 sec: 150.718000000 GB : : : 1 ; station=O8: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Ox: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Nt: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Tr: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Ys: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; station=Sr: 0 sec: 480 sec: 150.718000000 GB : : : 1 ; station=Ir: 0 sec: 480 sec: 150.000000000 GB : : : 1 ; endscan; *------------------------------------------------------------------------------- $TAPELOG_OBS; * Experiment: F15M1 *------------------------------------------------------------------------------- * * drive# VSN start time end time def EF; VSN= 1 :Ef-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def EX; VSN= 1 :Ex-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def WB; VSN= 1 :Wb-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def JB; VSN= 1 :Jb-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def MC; VSN= 1 :Mc-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def O8; VSN= 1 :O8-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def OX; VSN= 1 :Ox-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def NT; VSN= 1 :Nt-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def TR; VSN= 1 :Tr-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def YS; VSN= 1 :Ys-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def SR; VSN= 1 :Sr-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * def IR; VSN= 1 :Ir-eVLBI :2015y293d11h00m00s :2015y293d12h00m00s; enddef; * * *--------------------------------------------------------------------------------------- $CLOCK; * Experiment: F15M1 *--------------------------------------------------------------------------------------- * * valid from clock_early clock_early_epoch rate def EF; clock_early= 2015y293d11h00m00s : -26.531 usec : 2015y293d11h30m00s : -1.04e-07 usec/sec; enddef; * def EX; clock_early= 2015y293d11h00m00s : -26.531 usec : 2015y293d11h30m00s : -1.04e-07 usec/sec; enddef; * * Clockoffset for Wb, WSTRBORK_TADUmax_8MHz: +12.21 usec def WB; clock_early= 2015y293d11h00m00s : 7.415 usec : 2015y293d11h30m00s : 1.75e-07 usec/sec; enddef; * def JB; clock_early= 2015y293d11h00m00s : -3.712 usec : 2015y293d11h30m00s : 8.91e-08 usec/sec; enddef; * def MC; clock_early= 2015y293d11h00m00s : 0.319 usec : 2015y293d11h30m00s : 1.18e-07 usec/sec; enddef; * def O8; clock_early= 2015y293d11h00m00s : 11.595 usec : 2015y293d11h30m00s : -9.26e-09 usec/sec; enddef; * def OX; clock_early= 2015y293d11h00m00s : 11.595 usec : 2015y293d11h30m00s : -9.26e-09 usec/sec; enddef; * def NT; clock_early= 2015y293d11h00m00s : -7.380 usec : 2015y293d11h30m00s : 7.59e-07 usec/sec; enddef; * def TR; clock_early= 2015y293d11h00m00s : 57.134 usec : 2015y293d11h30m00s : -1.97e-05 usec/sec; enddef; * def YS; clock_early= 2015y293d11h00m00s : 12.550 usec : 2015y293d11h30m00s : 6.58e-07 usec/sec; enddef; * * No clockinfo available def SR; clock_early= 2015y293d11h00m00s : 0.000 usec : 2015y293d11h30m00s : 0.00e+00 usec/sec; enddef; * * No clockinfo available def IR; clock_early= 2015y293d11h00m00s : 1000002.473 usec : 2015y293d11h30m00s : 0.00e+00 usec/sec; enddef; * *------------------------------------------------------------------------------- $EOP; * (Predicted value's) Experiment: F15M1 *------------------------------------------------------------------------------- * def EOP292; TAI-UTC = 36 sec; eop_ref_epoch = 2015y292d00h00m00s; num_eop_points= 3; eop_interval = 24 hr; ut1-utc = 0.2031526 sec: 0.2016375 sec: 0.2000658 sec; x_wobble = 0.186936 asec: 0.185511 asec: 0.184109 asec; y_wobble = 0.285660 asec: 0.284126 asec: 0.282711 asec; delta_psi = -0.097892 asec: -0.097883 asec: -0.097690 asec; delta_eps = -0.012462 asec: -0.012411 asec: -0.012302 asec; enddef; * *------------------------------------------------------------------------------- ÿ